Tez No İndirme Tez Künye Durumu
385086
A digitally programmable application specific integrated circuit for drive and data acquisition of imaging sensors / Görüntüleme sensörlerini sürme ve sensörlerden veri alma için uygulamaya özel sayısal programlanabilir tümdevre
Yazar:NUSRET BAYHAN
Danışman: PROF. DR. TAYFUN AKIN ; YRD. DOÇ. DR. SELİM EMİNOĞLU
Yer Bilgisi: Orta Doğu Teknik Üniversitesi / Fen Bilimleri Enstitüsü / Elektrik-Elektronik Mühendisliği Ana Bilim Dalı
Konu:Elektrik ve Elektronik Mühendisliği = Electrical and Electronics Engineering
Dizin:Devre sentezi = Circuit synthesis ; Kızılötesi kameralar = Infrared cameras ; Sayısal elektronik = Digital electronic
Onaylandı
Yüksek Lisans
İngilizce
2014
97 s.
Bu tezde, görüntüleme uygulamalarında kullanılmak üzere tasarlanan, sayısal ve programlanabilir bir uygulamaya özel tümdevre anlatılmaktadır. Bu tümdevrenin başlıca kullanım alanı görüntüleme sensörlerini sürmek ve sensör video çıkışları üzerinde basit işlemler yapabilmektir. Tezde tasarlanan tümdevre, görüntüleme sensörü ve sistem arasındaki haberleşmeyi kontrol edebilmektedir. Tasarımda gelişmiş komutlar kullanılarak, çift yönlü olan bu haberleşme basitleştirilmiştir. Bunun yanında, görüntüleme sensörünün hafıza içeriği de tasarlanan tümdevre üzerinde tutulabilir ve bu hafıza kolay bir şekilde programlanabilir. Tümdevre, görüntüleme sensörü çıkışlarını gerçek zamanlı işleme kabililiyetine sahip olan bir veri yolu da içermektedir. Bu veri yolu, sensör verileri üzerinde aritmetik ve kodlama işlemleri uygulayabilir. Aritmetik işlemleri, veri yolunda yer alan aritmetik birim uygulamaktadır. Bu birim, tasarlanan özel bir komut seti yardımıyla, sensörden gelen veriler üzerinde piksel aşamasında düzeltme ve iyileştirme uygulayabilir. Aritmetik birimin çıkışına ise yüksek hızlı seri arayüzleri destekleyebilmek amacıyla bir 8bit/10bit kodlayıcı yerleştirilmiştir. Bu birimlere ek olarak tümdevrede, sensörler ve çevresel birimler için kullanılmak üzere tasarlanmış olan bir zamanlama üreteci bulunur. Bu ünite, gerekli olması halinde kullanılabilecek periyodik zamanlama sinyallerini üretebilir. Tezde tasarlanan tümdevre, Verilog Donanım Tanımlama Dili (DTD) kullanılarak, otomatik sayısal entegre devre tasarım yazılımları ile simülasyonlarla doğrulanmış, sentezlenmiş, yerleşimi ve bağlantıları yapılmıştır. Entegre devre üretimi pahalı olduğu için, tasarlanan tümdevre öncelikle FPGA üzerinde fonksiyonel açıdan doğrulanmıştır. Son olarak ise entegre devre ve FPGA üzerinde yapılan tasarımlar karşılaştırılmıştır. Sonuçta, pahalı olmasına rağmen, belirlenen bir çalışma hızında entegre tasarımının güç tüketimi ve alan açısından daha avantajlı olduğu görülmüştür.
This thesis explains the implementation of a digital programmable Application Specific Integrated Circuit (ASIC) designed for imaging applications. The primary function of this ASIC is to drive imaging sensors and to do basic processing on the digital video data coming from the sensors. The ASIC is designed to handle the communication between the imaging sensor and the system. Using command based high-level instructions, this two-way communication is simplified. The ASIC can also be used to store and update the sensor memory content using this communication interface. The ASIC has a built in data path that can process the digital sensor data in real time while the sensor is being operated. This data path is capable of performing both arithmetic and encoding operations on the sensor data. The arithmetic operations are handled by an integrated arithmetic unit placed on the data path. This unit can be used to correct and enhance the sensor data at the pixel level using a reduced set of special commands and instructions. The ASIC also has a built in 8bit/10bit data encoder at the end of its data path, which is integrated to support high speed serial data interfaces by providing a DC-balanced digital output data. The ASIC has an integrated programmable timing generator designed to generate the necessary timing signals for the imaging sensors and their peripherals. This module can be programmed to generate periodic timing signals at the period of line or frame times of the imaging sensor. The logic implemented in the ASIC is simulated, synthesized, placed and routed in sequence using automated digital design tools using Hardware Description Language (HDL) design capture. Since IC implementation is typically expensive, the designed logic is first implemented and verified at FPGA level to assure its functionality. The results of both implementations show that IC implementation is advantageous in terms of power and area for a given speed at the expense of its cost.